客服热线:

17669479937

产品中心

超声波测身高下载_Word模板_29 - 爱问文库

来源:半岛电竞官网入口    发布时间:2024-04-23 10:36:11

  超声波测身高超声波测身高 哈尔滨华德学院毕业设计(论文) 摘 要 论文首先介绍了单片机测身高的基础原理,随后对几种可性的方案进行了方案论证,确定最后的设计的具体方案,并对整个的设计的具体方案作了详细的介绍。在此本设计中硬件设计电路主要由单片机系统及显示电路、超声波发射电路和超声波检验测试接受电路组成。软件设计由主程序、超声波发生子程序、超声波接收中断程序及显示子程序等部分。本次设计用超声波良好的反射性能,采用回波测距法来实现。使用在空气中效率较高的中心频率为40KHz的超声波探头来完成发射与接收功能,在此基础上设计了系统的总体方案,最后...

  (论文) 摘 要 论文首先介绍了单片机测身高的基础原理,随后对几种可性的

  进行了方案论证,确定最后的设计的具体方案,并对整个的设计的具体方案作了详细的介绍。在此本设计中硬件设计电路主要由单片机系统及显示电路、超声波发射电路和超声波检验测试接受电路组成。软件设计由主程序、超声波发生子程序、超声波接收中断程序及显示子程序等部分。本次设计用超声波良好的反射性能,采用回波测距法来实现。使用在空气中效率较高的中心频率为40KHz的超声波探头来完成发射与接收功能,在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。 关键词;单片机 发射 接收 -I- 哈尔滨华德学院毕业设计(论文) Abstract Paper first introduces the basic principle of ultrasonic distance measurement, then the solution of several can be carried out of the demonstration program to determine the final design, and design a whole were described in detail. In this design, hardware design of the circuit mainly by the SCM system and the display circuit, ultrasonic transmitter and ultrasonic testing to accept circuit. Software design from the main program, subroutine ultrasound, ultrasound receiver interrupt program and display routines and other parts. The design of ultrasonic sound reflection properties, the use of echo ranging method to achieve. Higher efficiency in air using a center frequency of 40KHz ultrasound probe to complete transmit and receive functions. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. Keywords: SCM Launch Receive -II- 哈尔滨华德学院毕业设计(论文) 目 录 摘 要 ..........................................................................................................................I Abstract ..................................................................................................................... II 目 录 III 第1章 前 言 ............................................................................................................. 1 1.1 超声波测身高仪的设计思路 .................................错误~未定义书签。 1.2课

  设计的任务和要求 ...........................................错误~未定义书签。 第2章 课题的方案设计与论证...........................................错误~未定义书签。 2.1系统整体方案的设计 ...............................................错误~未定义书签。 2.2系统整体方案的论证 ...............................................错误~未定义书签。 第3章 系统的硬件结构设计 ...............................................错误~未定义书签。 3.1单片机的功能特点及测身高原理 ..........................错误~未定义书签。 3.2超声波发射电路 .......................................................错误~未定义书签。 3.3超声波检验测试接收电路 ...............................................错误~未定义书签。 3.4超声波测身高系统的硬件电路设计 ......................错误~未定义书签。 第4章 系统软件的设计 .......................................................错误~未定义书签。 4.1超声波测身高仪的算法设计...................................错误~未定义书签。 4.2 主程序流程图 ..........................................................错误~未定义书签。 4.3超声波发生子程序与超声波接受中断程序 .........错误~未定义书签。 第5章 电路调试与分析. ......................................................错误~未定义书签。 5.1 硬件调试与分析 27 5.2 程序调试与分析 27 结 论 ......................................................................................错误~未定义书签。 致 谢 ......................................................................................错误~未定义书签。 参考文献 ..................................................................................错误~未定义书签。 附录一 超声波测身高电路原理图 30 附录二 程序清单 31 附录三 元件清单 33 III 哈尔滨华德学院毕业设计(论文) 第1章 前言 随着科学技术的加快速度进行发展,超声波将在测身高仪中的应用越来越广。但就目前技术水平来说,人类能具体利用的测身高技术还十分有限,因此,这是一个正在蒸蒸日上而又有无限前景的技术及产业领域。 展望未来,超声波测身高仪作为一种新型的很重要有用的工具在各方面都将有很大的发展空间,它将朝着更加高定位高精度的方向发展,以满足日益发展的社会需求,如声纳的发展的新趋势基本为:研制具有更高定位精度的被动测身高声纳,以满足水中武器实施全隐蔽攻击的需要;继续发展采用低频线谱检测的潜艇拖曳线列阵声纳,实现超远程的被动探测和识别;研制更适合于浅海工作的潜艇声纳,特别是解决浅海水中目标识别问题;大力降低潜艇自噪声,改善潜艇声纳的工作环境。无庸置疑,未来的超声波测身高仪将与自动化智能化接轨,与其他的测身高仪集成和融合,形成多测身高仪。随着测身高仪的技术进步,测身高仪将从具有单纯判断功能发展到具有学习功能,最终发展到具有创造力。在新的世纪里,面貌一新的测身高仪将发挥更大的作用。 随着科学技术的发展,我们正常的生活水平的提高,城市发展建设加快,城市给排水系统也有较大发展,其状况不断改善。但是,由于历史原因合成时间住的许多不可预见因素,城市给排水系统,特别是排水系统往往落后于城市建设。 因此,常常会出现开挖已经建设好的建筑设施来改造排水系统的现象。城市污水给人类带来了困扰,因此箱涵的排污疏通对大城市给排水系统污水处理,人们生活舒适显得很重要。而设计研制箱涵排水疏通移动机器人的自动控制系统,保证机器人在箱涵中自由排污疏通,是箱涵排污疏通机器人的设计研制的核心部分。控制系统核心部分就是超声波测身高仪的研制。因此,设计好的超声波测身高仪就显得很重要了。这就是我设计超声波测身高仪的意义。 -1- 哈尔滨华德学院毕业设计(论文) 1.1超声波测身高的设计思路 超声波发生器在某一时刻发出一个超声波信号,当遇到被测物体后阿佘回来。被接收器所接收到。发出超声波信号到接受到返回信号所用的时间,就可以算出超声波发生器与反射物体的距离。公式如下:d=s/2=(c*t)/2 。d为被测物与测发生器的距离,s为声波的来回路程,c为声速,t为声波来回所用的时间。 发射器发出的超声波以速度υ在空气中传播,在到达被测物体时被反射返回,由接收器接收,其往返时间为t,由s=vt/2即可算出被测物体的距离。由于超声波也是一种声波,其声速v与温度有关,下表列出了几种不一样的温度下的声速。在使用时,如果气温变化不大,则可认为声速是基本不变的。如果测身高精度要求很高,则应通过温度补偿的

  加以校正。 表1-1 超声波波速与温度的关系表 温度(?) -30 -20 -10 0 10 20 30 100 声速(m,s) 313 319 325 323 338 344 349 386 -2- 哈尔滨华德学院毕业设计(论文) 超声波接收 超声波发 射 头顶端 3 米 图1-1 超声波测身高仪原理框图 1.2课题设计任务的内容和要求 1.2.1设计内容: 超声波测身高的原理是利用超声波的发射和接受,根据超声波传播的时间来计算出传播距离。实用的测身高方法有两种,一种是在被测身高离的两端,一端发射,另一端接收的直接波方式,适用于身高计;一种是发射波被 -3- 哈尔滨华德学院毕业设计(论文) 物体反射回来后接收的反射波方式,适用于测身高仪。此次设计采用反射波方式。利用单片机设计用于自动测量身高的测量仪,传感器输出信号经单片机处理后驱动语音电路自动报出身高值。 1.2.2设计的基本要求: 设计一个超声波测身高的作品,以空气中超声波传播速度为确定条件,利用超声波的发射与反射时间差来测量待测的身高距离。要求电路简洁,制作方便、性能可靠。测量范围不低于1,3米,测量精度为1cm,能够清晰稳定地显示测量结果,测量结果以每1cm往上递增,当高位为“0”时,则不显示,以达到省电的目的,当测量距离低于最小距离时,则显示最小距离“30”cm。 (1)设计的具体方案选择合理,达到设计任务要求。 (2)设计思路清晰,原理框图正确,元器件选择恰当,计算正确;软件流程图正确合理,源程序完整;说明书简明扼要,文字流畅,论点明确,书写工整。 (3)图纸表达正确,符合制图规范;图面整洁,布局合理,图中电气连接和元器件符号符合标准要求。 (4)设计的有关技术指标符合国家相关规范、标准和政策要求。 (5)在设计过程中认真、按时完成各阶段的设计、计算、编程、绘图、调试任务。 -4- 哈尔滨华德学院毕业设计(论文) 第2章 课题的方案设计与论证 方案设计是整个设计首要解决的问题,没有好的方案就没有好的设计。下面做本次设计的具体方案的详细介绍。 2.1系统整体方案的设计及原理框图 2.1.1系统整体方案的设计 超声波是指频率高于20KHz的机械波。为了以超声波作为检验测试手段,必须产生超声波和接收超声波。超声波传感器是利用压电效应的原理将电能和超声波相互转化,即在发射超声波的时候,将电能转换,发射超声波;而在收到回波的时候,则将超声振动转换成电信号。由于超声波指向性强,能量消耗缓慢,在介质中传播的距离较远,因而超声波经常用于距离的测量。利用超声波检验测试身高离,设计较为方便,计算处理也较简单,并且在测量精度方面也能达到农业生产等自动化的使用上的要求。 超声波发生器可大致分为两大类:一类是用电气方式产生超声波,一类是用机械方式产生超声波。电气方式包括压电型、电动型等;机械方式有加尔统笛、液哨和气流旋笛等。它们所产生的超声波的频率、功率、和声波特性各不相同,因而用途也各不相同。目前在近距离测量方面常用的是压电式超声波换能器。根据设计的基本要求并综合各方面因素,本文采用AT89S51单片机作为控制器,用动态扫描法实现LED数字显示,超声波驱动信号用单片机的定时器。 2.1.2超声波测身高原理框图 超声波测身高原理框图如下图,单片机发出40kHZ的信号,经放大后通过超声波发射器输出;超声波接收器将接收到的超声波信号经放大器放大,用锁相环电路进行检波处理后,启动单片机中断程序,测得时间为t,再由软件进行判别、计算,得出距离数并送语音播报。 -5- 哈尔滨华德学院毕业设计(论文) 锁相环 超声波接收器 放大电路 检波电路 单片机定时器 控制 语音播 报 放大电路 超声波发射器 图2-2 超声波测量身高原理框图 2.2系统整体方案的论证 超声波测身高的原理是利用超声波的发射和接受,根据超声波传播的时间来计算出传播距离。实用的测身高方法有两种,一种是在被测身高离的两端,一端发射,另一端接收的直接波方式,适用于身高计;一种是发射波被物体反射回来后接收的反射波方式,适用于测身高仪。此次设计采用反射波方式。 测身高仪的分辨率取决于对超声波传感器的选择。超声波传感器是一种采用压电效应的传感器,常用的

  是压电陶瓷。由于超声波在空气中传播时会有相当的衰减,衰减的程度与频率的高低成正比;而频率高分辨率也高,故短距离测量时应选择频率高的传感器,而长距离的测量时应用低频率的传感器。 -6- 哈尔滨华德学院毕业设计(论文) 第三章 系统的硬件结构设计 硬件电路的设计最重要的包含单片机系统及显示电路、超声波发射电路和超声波检验测试接收电路三部分。单片机采用AT89S51或其兼容系列。采用12MHz高精度的晶振,以获得较稳定时钟频率,减小测量误差。单片机用P1.0端口输出超声波换能器所需的40kHz的方波信号,利用外中断0口监测超声波接收电路输出的返回信号。显示电路采取简单实用的4位共阳LED数码管,段码用74LS244驱动,位码用PNP三极管8550驱动。 3.1 51系列单片机的功能特点及测身高原理 3.1.1 51系列单片机的功能特点 5l系列单片机中典型芯片(AT89S51)采用40引脚双列直插封装(DIP)形式,内部由CPU,4kB的ROM,256 B的RAM,2个16b的定时,计数器TO和T1,4个8 b的工,O端I:IP0,P1,P2,P3,一个全双功串行通信口等组成。特别是该系列单片机片内的Flash可编程、可擦除只读存储器(E~PROM),使其在实际中有着十分广泛的用途,在便携式、省电及特殊信息保存的仪器和系统中更为有用。该系列单片机引脚与封装如图2-1所示。 -7- 哈尔滨华德学院毕业设计(论文) 图3-1 51系列单片机封装图 5l系列单片机提供以下功能:4 kB存储器;256 BRAM;32条工,O线个全双向的串行口以及时钟电路。 空闲方式:CPU停止工作,而让RAM、定时,计数器、串行口和中断系统继续工作。 掉电方式:保存RAM的内容,振荡器停振,禁止芯片所有的其他功能直到下一次硬件复位。 5l系列单片机为许多控制提供了高度灵活和低成本的处理方法。充分的利用他的片内资源,即可在较少外围电路的情况下构成功能完善的超声波测身高系统。 3.1.2 单片机实现测身高原理 单片机发出超声波测身高是通过不断检测超声波发射后遇到障碍物所反射的回波,从而测量出发射和接收回波的时间差tr,然后求出距离S,Ct,2,式中的C为超声波波速。 限制该系统的最大可测身高离存在4个因素:超声波的幅度、反射的质 -8- 哈尔滨华德学院毕业设计(论文) 地、反射和入射声波之间的夹角以及接收换能器的灵敏度。接收换能器对声波脉冲的直接接收能力将决定最小的可测身高离。为增加所测量的覆盖范围、减小测量误差,可采用多个超声波换能器分别作为多路超声波发射,接收的设计方法。由于超声波属于声波范围,其波速C与温度有关。 3.2 超声波发射电路 超声波发射电路原理图如图2-2所示。发射电路主要由反相器74LS04和超声波发射换能器T构成,单片机P1.0端口输出的40kHz的方波信号一路经一级反向器后送到超声波换能器的一个电极,另一路经两级反向器后送到超声波换能器的另一个电极,用这种推换形式将方波信号加到超声波换能器的两端,能大大的提升超声波的发射强度。输出端采两个反向器并联,用以提高驱动能力。上位电阻R1O、R11一方面能够提高反向器74LS04输出高电平的驱动能力,另一方面可以增加超声波换能器的阻尼效果,缩短其自由振荡时间。 图3-2 超声波发射驱动电路 原理 压电式超声波换能器是利用压电晶体的谐振来工作的。超声波换能器内 -9- 哈尔滨华德学院毕业设计(论文) 部有两个压电晶片和一个换能板。当它的两极外加脉冲信号,其频率等于压电晶片的固有振荡频率时,压电晶片会发生共振,并带动共振板振动产生超声波,这时它就是一个超声波发生器;反之,如果两电极问未外加电压,当共振板接收到超声波时,将压迫压电晶片作振动,将机械能转换为电信号,这时它就成为超声波接收换能器。超声波发射换能器与接收换能器在结构上稍有不同,使用时应分清器件上的标志。 语音播报电路图及原理如下图: VCC VCC 1K×3 1K功放图ICVPP4.7uFSTP3-IRTCOUTSETRESTVCCLED2A7P0.0P1.0VCCV0A6P0.1P1.1LED1A5P0.2P1.23 V1A4P0.3P1.3OSEA3P0.4P1.4A2P0.5P1.5VCCA1P0.6P1.6超A0P0.7P1.71KINT0P2.022C040INT1P2.1WRP2.2声RDP2.3ALEP2.4XTAL1P2.5LED1XTAL2P2.6波PSENP2.7T0P3.01K1K×8T1P3.1GNDP3.4测 VCCVCCAT89C51量 身高语音播报电路 3.3 超声波检测接收电路 集成高速运算放大电路TL082是一款性能优良的芯片,运用它对所接收到的微弱信号进行放大,使信号幅值达到后面的检波电路所要求的最低幅值。本接收电路灵敏度高,所需要元器件少,电路连接简单,成本低,很适合大批量生产。电路原理图如下: -10- 哈尔滨华德学院毕业设计(论文) 图3-4 超声波检测接收电路 3.4 超声波测身高系统的硬件电路设计 本系统的特点是利用单片机控制超声波的发射和对超声波自发射至接收往返时间的计时,单片机选用AT89S51,经济易用,且片内有4K的ROM,便于编程。电路原理图如图2-4所示。其中只画出前方测身高电路的接线图,左侧和右侧测身高电路与前方测身高电路相同,故省略之。 -11- 哈尔滨华德学院毕业设计(论文) VCC VCC1K×3 1K功放ICVPP4.7uF STPIRTCOUTSETRESTVCCLED2A7P0.0P1.0VCCV0A6P0.1P1.1 LED1A5P0.2P1.2V1A4P0.3P1.3OSEA3P0.4P1.4A2P0.5P1.5 VCCA1P0.6P1.6A0P0.7P1.71KINT0P2.022C040INT1P2.1 WRP2.2RDP2.3ALEP2.4XTAL1P2.5LED1XTAL2P2.6 PSENP2.7T0P3.01K1K×8T1P3.1GNDP3.4 VCCVCCAT89C51 -12- 哈尔滨华德学院毕业设计(论文) 第4 章 系统软件的设计 超声波测身高仪的软件设计主要由主程序、超声波发生子程序、超声波接收中断程序及显示子程序组成。我们知道C语言程序有利于实现较复杂的算法,汇编语言程序则具有较高的效率且容易精细计算程序运行的时间,而超声波测身高仪的程序既有较复杂的计算(计算距离时),又要求精细计算程序运行时间(超声波测身高时),所以控制程序可采用C语言和汇编语言混合编程。主超声波测身高仪主程序利用外中断0检测返回超声波信号,一旦接收到返回超声波信号(即INT0引脚出现低电平),立即进入中断程序。进入中断后就立即关闭计时器T0停止计时,并将测身高成功标志字赋值1。如果当计时器溢出时还未检测到超声波返回信号,则定时器T0溢出中断将外中断0关闭,并将测身高成功标志字赋值2以表示此次测身高不成功。 前方测身高电路的输出端接单片机INT0端口,中断优先级最高,左、右测身高电路的输出通过与门IC3A的输出接单片机INT1端口,同时单片机P1.3和P1.4接到IC3A的输入端,中断源的识别由程序查询来处理,中断优先级为先右后左。 4.1 超声波测身高仪的算法设计 超声波测身高的算法设计原理为超声波发生器T在某一时刻发出一个超声波信号,当这个超声波遇到被测物体后反射回来,就被超声波接收器R所接收到。这样只要计算出从发出超声波信号到接收到返回信号所用的时间,就可算出超声波发生器与反射物体的距离。在启动发射电路的同时启动单片机内部的定时器T0,利用定时器的计数功能记录超声波发射的时间和收到反射波的时间。当收到超声波反射波时,接收电路输出端产生一个负跳变,在INT0或INT1端产生一个中断请求信号,单片机响应外部中断请求,执行外部中断服务子程序,读取时间差,计算距离。 距离的计算公式为: d=s/2=(c×t)/2 (1) -13- 哈尔滨华德学院毕业设计(论文) 其中,d为被测物与测身高仪的距离,s为声波的来回的路程,c为声速,t为声波来回所用的时间。 在启动发射电路的同时启动单片机内部的定时器T0,利用定时器的计数功能记录超声波发射的时间和收到反射波的时间。当收到超声波反射波时,接收电路输出端产生一个负跳变,在INT0或INT1端产生一个中断请求信号,单片机响应外部中断请求,执行外部中断服务子程序,读取时间差,计算距离。 4.2 主程序流程图 软件分为两部分,主程序和中断服务程序,如图3-1(a)(b) (c) 所示。主程序完成初始化工作、各路超声波发射和接收顺序的控制。 定时中断服务子程序完成三方向超声波的轮流发射,外部中断服务子程序主要完成时间值的读取、距离计算、结果的输出等工作。 -14- 哈尔滨华德学院毕业设计(论文) 开始 外部中断入定时中断入口 口 关外部中断 初始化 初始化 N N 三个方向发射完 有回波吗, 计算距离 Y Y 否, 结果输出 发射子程序 停止发 射 开外部中返回 断 测量身高子程 返回 序 播报 (a)主程序 (b)发射子程序 (c)测身高子程序 图4-1测身程序流程图 0工作模式为16位定时主程序首先是对系统环境初始化,设置定时器T 计数器模式。置位总中断允许位EA并给显示端口P0和P1清0。然后调用超声波发生子程序送出一个超声波脉冲,为了避免超声波从发射器直接传送到接收器引起的直射波触发,需要延时约0.1 ms(这也就是超声波测身高仪会有一个最小可测身高离的原因)后,才打开外中断0接收返回的超声波信号。由于采用的是12 MHz的晶 振,计数器每计一个数就是1μs,当主程序检测到接收成功的标志位后,将计数器T0中的数(即超声波来回所用的时间)按式(2)计算,即可得被测物体与测身高仪之间的距离,设计时取20?时的声速为344 m/s则有: d=(c×t)/2 (2) 其中,T0为计数器T0的计算值。 测量身高的公式如下: d=300-(c×t)/2 (3) 测出距离后结果将以十进制BCD码方式送往LED显示约0.5s,然后再发 -15- 哈尔滨华德学院毕业设计(论文) 超声波脉冲重复测量过程。为了有利于程序结构化和容易计算出距离,主程序采用汇编语言编写。 4.3超声波发生子程序和超声波接收中断程序 超声波发生子程序的作用是通过P2.5端口发送4个左右超声波脉冲信号(频率约40kHz的方波),脉冲宽度为12μs左右,同时把计数器T0打开进行计时。超声波发生子程序较简单,但要求程序运行准确,所以采用汇编语言编程。 超声波测身高仪主程序利用外中断0检测返回超声波信号,一旦接收到返回超声波信号(即INT0引脚出现低电平),立即进入中断程序。进入中断后就立即关闭计时器T0停止计时,并将测身高成功标志字赋值1。如果当计时器溢出时还未检测到超声波返回信号,则定时器T0溢出中断将外中断0关闭,并将测身高成功标志字赋值2以表示此次测身高不成功。 前方测身高电路的输出端接单片机INT0端口,中断优先级最高,左、右测身高电路的输出通过与门IC3A的输出接单片机INT1端口,同时单片机P1.3和P1.4接到IC3A的输入端,中断源的识别由程序查询来处理,中断优先级为先右后左。 -16- 哈尔滨华德学院毕业设计(论文) 第5章 电路调试与分析 超声波测身高仪的制作和调试都比较简单,其中超声波发射和接收采用Φ15的超声波换能器TCT40-10F1(T发射)和TCT40-10S1(R接收),中心频率为40kHz,安装时应保持两换能器中心轴线cm,其余元件无特殊要求。若能将超声波接收电路用金属壳屏蔽起来,则可提高抗干扰能力。根据测量范围要求不同,可适当调整与接收换能器并接的滤波电容C0的大小,以获得合适的接收灵敏度和抗干扰能力。 5.1硬件部分调试与分析 超声波发射和接收采用Φ15的超声波换能器TCT40-10F1(T发射)和TCT40-10S1(R接收),中心频率为40kHz,安装时应保持两换能器中心轴线cm,其余元件无特殊要求。若能将超声波接收电路用金属壳屏蔽起来,则可提高抗干扰能力。 在对该电路进行调试时,VCC应输出5V直流稳定的电压,接通电源后电源指示灯亮,正常发光。 一(对电源部分进行调试。先将整流、滤波部分元件焊上,然后接上电源变压器,用交流档测变压器输出电压为12V左右,再用直流档测整流滤波后的电压为直流14.4V左右,测试正常后,接上三端稳压(7805)后再测其输出电压,为5V?0.25V,这些数据说明电源部全部工作正常。 二(根据测量范围要求不同,可适当调整与接收换能器并接的滤波电容C7的大小,以获得合适的接收灵敏度和抗干扰能力。 三(硬件电路制作完成并调试好后,便可将程序编译好下载到单片机试运行。根据实际情况可以修改超声波发生子程序每次发送的脉冲宽度和两次测量的间隔时间,以适应不同距离的测量需要。 四(在实际测身高调试中,当测量距离在1,3m范围内时,测量值与实际值相差2cm左右;当测量距离在1.5m,2.5m时,测量值与实际值相差3cm左右。 -17- 哈尔滨华德学院毕业设计(论文) 系统调试完后应对测量误差和重复一致性进行多次实验分析,不断优化系统使其达到实际使用的测量要求。硬件电路制作完成并调试好后,便可将程序编译好下载到单片机试运行。根据实际情况可以修改超声波发生子程序每次发送的脉冲宽度和两次测量的间隔时间,以适应不同距离的测量需要。根据所设计的电路参数和程序,测身高仪能测的范围为0,300cm,测身高仪最大误差不超过1cm。系统调试完后应对测量误差和重复一致性进行多次实验分析,不断优化系统使其达到实际使用的测量要求。 5.2程序调试与分析 多步调试:完成程序后,我首先采用了多步调试,时间正常显示与更新,但定时到了继电器不会吸合。由是我采用断点调试。 断点调试:在程序中插入断点,即为断点调试。但由于不太会用,所以也未能找到错误。 单步调试:由于程序在设计过程中产生了错误,而多步调试及断点调试又找不出错误所在,这时就要采用单步调试,终于找到错误所在。经过思考与多次调试,错误被更正。 -18- 哈尔滨华德学院毕业设计(论文) 结 论 超声波测身高的原理是利用超声波的发射和接受,根据超声波传播的时间来计算出传播距离。实用的测身高方法有两种,一种是在被测身高离的两端,一端发射,另一端接收的直接波方式,适用于身高计;一种是发射波被物体反射回来后接收的反射波方式,适用于测身高仪。此次设计采用反射波方式。 超声波发射电路主要由反相器74LS04和超声波发射换能器T构成,单片机P1.0端口输出的40kHz的方波信号一路经一级反向器后送到超声波换能器的一个电极,另一路经两级反向器后送到超声波换能器的另一个电极,用这种推换形式将方波信号加到超声波换能器的两端,能大大的提升超声波的发射强度。输出端采两个反向器并联,用以提高驱动能力。上位电阻R1O、R11一方面可以提高反向器74LS04输出高电平的驱动能力,另一方面能增加超声波换能器的阻尼效果,缩短其自由振荡时间。压电式超声波换能器是利用压电晶体的谐振来工作的。超声波换能器内部有两个压电晶片和一个换能板。当它的两极外加脉冲信号,其频率等于压电晶片的固有振荡频率时,压电晶片会发生共振,并带动共振板振动产生超声波,这时它就是一个超声波发生器;反之,如果两电极问未外加电压,当共振板接收到超声波时,将压迫压电晶片作振动,将机械能转换为电信号,这时它就成为超声波接收换能器。超声波发射换能器与接收换能器在结构上稍有不同,使用时应分清器件上的标志。 超声波检验测试接收电路主要是由集成电路CX20106A组成,它是一款红外线检波接收的专用芯片,常用于电视机红外遥控接收器。考虑到红外遥控常用的载波频率38 kHz与测身高的超声波频率40 kHz较为接近,可以利用它制作超声波检验测试接收电路。实验证明用CX20106A接收超声波(无信号时输出高电平),具有很好的灵敏度和较强的抗干扰能力。适当更改电容C4的大小,可以改变接收电路的灵敏度和抗干扰能力。 在元件及调制方面,由于采用的电路使用了很多集成电路。外围元件不 -19- 哈尔滨华德学院毕业设计(论文) 是很多,所以调试应该不会太难。一般只要电路焊接无误,稍加调试应该会正常工作。电路中除集成电路外,对各电子元件也无特别要求。根据测量范围要求不同,可适当调整与接收换能器并接的滤波电容C0的大小,以获得合适的接收灵敏度和抗干扰的能力。若能将超声波接收电路用金属壳屏蔽起来,则可提高抗干扰能力。 -20- 哈尔滨华德学院毕业设计(论文) 致 谢 首先,我要感谢我的导师在毕业设计中对我给予的悉心指导和严格要求,同时也感谢本校的一些老师在毕业设计期间所给予我得帮助。在我毕业论文写作期间,各位老师给我提供了种种专业相关知识上的指导和日常生活上的关怀,没有您们这样的帮助和关怀,我不会这么顺利的完成毕业设计,借此机会,向您们表示由衷的感激。并且要感谢系实验室在毕业设计期间提供给我们优越的实验条件。 接着,我要感谢和我一起做毕业设计的同学。,你们给我提出很多宝贵的意见,给了我不少帮助还有工作上的支持,在此也真诚的谢谢你们。同时,我还要感谢我的寝室同学和身边的朋友,正是在这样一个团结友爱,相互促进的环境中,在和他们的相互帮助和启发中,才有我今天的小小收获。 最后我要深深地感谢我的家人,正是他们含辛茹苦地把我养育成人,在生活和学习上给予我无尽的爱、理解和支持,才使我时刻充满信心和勇气,克服成长路上的种种困难,顺利的完成大学学习。 还有许许多多给予我学业上鼓励和帮助的朋友,在此无法一一列举,在此也一并表示忠心地感谢~ -21- 哈尔滨华德学院毕业设计(论文) 参考文献 [1] 何立民.单片机应用技术选编.北京:北京航空航天大学出版社,1997:10 [2] 张有德.单片微机原理、应用与实验.上海:复旦大学出版社,1997:8 [3] 李朝青.单片机&DSP外围数字IC技术手册.北京:北京航空航天大学出版社,1998:4 [4] 梁廷贵、王裕琛 .现代集成电路实用手册.北京:科学技术文献出版社,1999:6 [5] 于海生.微型计算机控制技术选编.北京:清华大学出版社,1999.3 [6] 徐爱钧.智能化测量控制仪表原理与设计. 北京:北京航空航天大学出版 社,1995.11 [7] 周兴华.手把手教你学单片机.北京:北京航空航天大学出版社,1996,7 [8] 神龙工作室.Protel 2004 实用培训教程.北京:人民邮电出版社,1995,1 [9] 扈啸,周旭升编著.单片机数据通信技术从入门到精通.西安:西安电子科 9 技 大学出版社,2002, [10] 《单片机典型模块设计实例导航》,人民邮电出版社,求是科技编著; [11] 《单片微型计算机与接口技术》(第3版)电子工业出版社,李群芳、张士军、黄建编著; [12] 《51单片机C语言常用模块与综合系统模块设计实例精讲》(第2版)电子工业出版社; [13] 《单片机C51语言应用实战集锦》(修订版),电子工业出版社,范风强、兰婵丽。 [14] 李军,文玉梅.数字称重传感器的信号获取及处理.工业出版社 1996 [15] 李林功,吴飞青,王兵等(单片机原理与应用(北京:机械工业出版社,2007:96-12 [16] 孙延耀. 关于如何选用称重传感器的几点建议.科学技术出版社2001 -22- 哈尔滨华德学院毕业设计(论文) 附录一 超声波测身高电路原理图: VCC VCC1K×3 1K功放IC VPP4.7uFSTPIRTCOUTSETRESTVCC LED2A7P0.0P1.0VCCV0A6P0.1P1.1LED1A5P0.2P1.2 V1A4P0.3P1.3OSEA3P0.4P1.4A2P0.5P1.5VCCA1P0.6P1.6 A0P0.7P1.71KINT0P2.022C040INT1P2.1WRP2.2 RDP2.3ALEP2.4XTAL1P2.5LED1 XTAL2P2.6PSENP2.7T0P3.01K1K×8T1P3.1 GNDP3.4 VCCVCC AT89C51 -23- 哈尔滨华德学院毕业设计(论文) 附录二 程序清单: XS0 EQU P0 ;数据端0(对应位3) XS1 EQU P1 ;数据端1(对应位2) XS2 EQU P2 ;数据端2(对应位1) FS BIT P3.0 ;40Khz发射端 BJ BIT P3.1 ;超量程报警 ORG 0000H LJMP MAIN ORG 0003H LJMP MAINV ORG 000BH LJMP YCBJ ORG 0030H MAIN: MOV TMOD,#01H SETB EA SETB ET0 HH0: MOV 50H,#05 MOV TH0,#00H MOV TL0,#00H SETB TR0 CLR EX0 HH1: CLR FS ;40Khz脉冲发射 MOV 30H,#05H DJNZ 30H,$ SETB FS MOV 30H,#03H DJNZ 30H,$ NOP DJNZ 50H,HH1 SETB EX0 LCALL TT1 -24- 哈尔滨华德学院毕业设计(论文) LJMP HH0 MAINV: CLR TR0 CLR CY MOV A,TL0 SUBB A,#3CH MOV TL0,A MOV A,TH0 SUBB A,#00H MOV TH0,A LCALL CF LCALL DAA MOV DPTR,#W0 ; MOV A,36H ANL A,#0FH MOVC A,@A+DPTR MOV XS2,A MOV DPTR,#W1 ; MOV A,36H ANL A,#0F0H SWAP A MOVC A,@A+DPTR MOV XS1,A MOV DPTR,#W2 ; MOV A,37H ANL A,#0FH MOVC A,@A+DPTR MOV XS0,A RETI DAA: CLR A ;BCD码转换 MOV 34H,A -25- 哈尔滨华德学院毕业设计(论文) MOV 35H,A MOV 36H,A MOV 37H,A MOV 38H,#18H LOOP: CLR C MOV A,39H RLC A MOV 39H,A MOV A,40H RLC A MOV 40H,A MOV A,41H RLC A MOV 41H,A MOV A,34H ADDC A,34H DA A MOV 34H,A MOV A,35H ADDC A,35H DA A MOV 35H,A MOV A,36H ADDC A,36H DA A MOV 36H,A MOV A,37H ADDC A,37H DA A MOV 37H,A DJNZ 38H,LOOP RET CF: MOV 45H,#0ACH ;计数器T0乘以172,结果送回 46H,47H,48H MOV B,45H MOV A,TL0 MUL AB -26- 哈尔滨华德学院毕业设计(论文) MOV 46H,A MOV 47H,B MOV B,45H MOV A,TH0 MUL AB MOV 48H,A MOV 49H,B CLR CY MOV A,47H ADD A,48H MOV 47H,A MOV A,#00H ADDC A,49H MOV 48H,A MOV 39H,46H MOV 40H,47H MOV 41H,48H RET TT1: MOV 31H,#22H TT11: DJNZ 31H,TT12 ;延时1 RET TT12: MOV 32H,#05FH TT13: DJNZ 32H,TT14 AJMP TT11 TT14: MOV 33H,#0FFH DJNZ 33H,$ LJMP TT13 YCBJ: CLR BJ MOV TH0,#00H MOV TL0,#00H RETI W0: DB 0E7H,21H,0CBH,6BH,2DH,6EH,0EEH,23H,0EFH,6FH W1: DB 7EH,48H,3DH,6DH,4BH,67H,77H,4CH,7FH,6FH W2: DB 0EFH,8CH,0DBH,0DEH,0BCH,7EH,7FH,0CCH,0FFH,0FEH END -27- 哈尔滨华德学院毕业设计(论文) 附录三 元件清单: 型号规型号型号元件 数量 元件 数量 元件 数量 格 规格 规格 AT89S574LS01千欧单片机 1 与非门 6 电阻 18 1 0 1KW 33pF耐通用锁相TD-05电容 2 压值环电路音1 LM567 按键 1 A 6.3V 调译码器 0.1uF47uF 耐压耐压喇叭 1 0.8W 电容 3 电容 1 值值 6.3V 6.3V 发光二红色Ф超声波发40KH20千1 1 电阻 1 Z 极管 5 射器 欧1KW 超声波接40KH6千欧功放 1 5W 1 电阻 1 Z 收器 1KW 4.7uF4千欧滑动变阻50千电容 1 耐压值电阻 2 1 1KW 器 欧1KW 6.3V 语音芯100千1 22C040 电阻 1 运放 1 TL082 片 欧1KW 332uF103uF电容 耐压2 耐压值电容 1 值6.3V 6.3V -28-

  本文档为【超声波测身高】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。

  [版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件,我们尽快处理。

  本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。

  网站提供的党政主题相关联的内容(国旗、国徽、党徽..)目的是配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

  五年级英语上册 Unit 2 Animals(第5课时)教学设计 北师大版(三起)-北师大版小学五年级上册英语教案

  五年级英语上册 Unit 2 Animals(第5课时)教学设计 北师大版(三起)-北师大版小学五年级上册英语教案

  人教版初中数学七年级上册期末测试题(2018-2019学年河南省驻马店市平舆精品

  人教版初中数学七年级上册期末测试题(2018-2019学年河南省驻马店市平舆精品